[SOLVED] SES 6.32 simulator not correctly showing variable values

This site uses cookies. By continuing to browse this site, you are agreeing to our Cookie Policy.

  • [SOLVED] SES 6.32 simulator not correctly showing variable values

    I'm having a hard time understanding the rules for when SES does and does not provide information on variables. The attached screenshot shows that variable 'ptr' correctly loaded a value from 'result.value.v_voidPtr', so why is this value not showing in the union for 'result'? Variables also keep appearing and disappearing from the listings of 'locals' and 'autos' even when they are still in scope. Are there some kind of additional settings I need to enable?
    Images
    • bad_debug_display.jpg

      243.69 kB, 1,422×554, viewed 334 times
  • Hello,

    Thank you for your inquiry.
    Sounds like code optimization is off. Could you check if any optimization level is active?
    For debugging we recommend level 0.

    Best regards,
    Nino
    Please read the forum rules before posting.

    Keep in mind, this is *not* a support forum.
    Our engineers will try to answer your questions between their projects if possible but this can be delayed by longer periods of time.
    Should you be entitled to support you can contact us via our support system: segger.com/ticket/

    Or you can contact us via e-mail.
  • Hello,

    great to hear that you are up and running again.
    This thread will be closed now.

    Best regards,
    Nino
    Please read the forum rules before posting.

    Keep in mind, this is *not* a support forum.
    Our engineers will try to answer your questions between their projects if possible but this can be delayed by longer periods of time.
    Should you be entitled to support you can contact us via our support system: segger.com/ticket/

    Or you can contact us via e-mail.